Books

  1. Xin Li, Jiayong Le and Lawrence Pileggi, Statistical Performance Modeling and OptimizationISBN 978-1601980564, Now Publishers, 2007.

Book Chapters

Supervised students are delineated with an asterisk (*).
  1. Stephen Foldes, Wei Wang, Jennifer Collinger, Xin Li, Jinyin Zhang*, Gustavo Sudre, Anto Bagic and Douglas Weber, "Accessing and processing MEG signals in real-time: emerging applications and enabling technologies," Magnetoencephalography, ISBN 978-953-307-255-5, InTech, 2011.

Journal Papers

Supervised students are delineated with an asterisk (*).
  1. Xiaoming Chen*, Lin Wang, Boxun Li, Yu Wang, Xin Li, Yongpan Liu and Huazhong Yang, "Modeling random telegraph noise as a randomness source and its application in true random number generation," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 9, pp. 1435-1448, Sep. 2016.
  2. Fa Wang*, Paolo Cachecho, Wangyang Zhang*, Shupeng Sun*, Xin Li, Rouwaida Kanj and Chenjie Gu, "Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 8, pp. 1255-1268, Aug. 2016.
  3. Changhai Liao, Jun Tao, Xuan Zeng, Yangfeng Su, Dian Zhou and Xin Li, "Efficient spatial variation modeling of nanoscale integrated circuits via hidden Markov tree," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 6, pp. 971-984, Jun. 2016.
  4. Majid Mahzoon, Christy Li, Xin Li and Pulkit Grover, "Energy-constrained distributed learning and classification by exploiting relative relevance of sensors data," IEEE Journal on Selected Areas in Communications (JSAC), vol. 34, no. 5, pp. 1417-1430, May. 2016.
  5. Yue Zhao, Taeyoung Kim, Hosson Shin, Sheldon Tan, Xin Li, Guoyong Shi and Hai Wang, "Statistical rare event analysis and parameter guidance by elite learning sample selection," ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 21, no. 4, 21 Pages, May. 2016.
  6. Po-Hsun Wu*, Po-Hung Lin, Xin Li and Tsung-Yi Ho, "Parasitic-aware common-centroid FinFET placement and routing for current-ratio matching," ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 21, no. 3, 22 Pages, Apr. 2016.
  7. Kyri Baker*, Junyao Guo, Gabriela Hug and Xin Li, "Distributed MPC for efficient coordination of storage and renewable energy sources across control areas," IEEE Trans. on Smart Grid (TSG), vol. 7, no. 2, pp. 992-1001, Mar. 2016.
  8. Jinyin Zhang*, Xin Li, Stephen Foldes, Wei Wang, Jennifer Collinger, Douglas Weber and Anto Bagic, "Region-of-interest-constrained discriminant analysis for MEG decoding," IEEE Trans. on Biomedical Engineering (TBME), vol. 63, no. 1, pp. 30-42, Jan. 2016.
  9. Jun Tao*, Changhai Liao, Xuan Zeng and Xin Li, "Harvesting design knowledge from the internet: high-dimensional performance trade-off modeling for analog circuits," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 35, no. 1, pp. 23-36, Jan. 2016.
  10. Shupeng Sun*, Xin Li, Hongzhou Liu, Kangsheng Luo and Ben Gu, "Fast statistical analysis of rare circuit failure events via scaled-sigma sampling for high-dimensional variation space," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 7, pp. 1096-1109, Jul. 2015. (IEEE Donald O. Pederson Best Paper Award)
  11. Hengliang Zhu, Yuanzhe Wang*, Frank Liu, Xin Li, Xuan Zeng and Peter Feldmann, "Efficient transient analysis of power delivery network with clock/power gating by sparse approximation," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 3, pp. 409-421, Mar. 2015.
  12. Po-Hsun Wu*, Po-Hung Lin, Tung-Chieh Chen, Ching-Feng Yeh, Xin Li and Tsung-Yi Ho, "A novel analog physical synthesis methodology integrating existent design expertise," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 2, pp. 199-212, Feb. 2015.
  13. Jean-Olivier Plouchart, Fa Wang*, Xin Li, Ben Parker, Mihai Sanduleanu, Andreea Balteanu, Bodhisatwa Sadhu, Alberto Valdes-Garcia and Daniel Friedman, "Adaptive circuit design methodology and test applied to mm-wave transceivers," IEEE Design & Test Magazine (D&T), vol. 31, no. 6, pp. 8-18, Dec. 2014.
  14. Shupeng Sun*, Fa Wang*, Soner Yaldiz, Xin Li, Lawrence Pileggi, Arun Natarajan, Mark Ferriss, Jean-Olivier Plouchart, Bodhisatwa Sadhu, Benjamin Parker, Alberto Valdes-Garcia, Mihai Sanduleanu, Jose Tierno and Daniel Friedman, "Indirect performance sensing for on-chip self-healing of analog and RF circuits," IEEE Trans. on Circuits and Systems - I (TCAS-I), vol. 61, no. 8, pp. 2243-2252, Aug. 2014.
  15. Chenjie Gu, Manzil Zaheer* and Xin Li, “Multiple-population moment estimation: exploiting inter-population correlation for efficient moment estimation in analog/mixed-signal validation,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 33, no. 7, pp. 961-974, Jul. 2014.
  16. Don Krieger, Malcolm McNeil, Jinyin Zhang*, Ava Puccio, Walter Schneider, Xin Li and David Okonkwo, "Very high resolution neuro-electric brain imaging realized by referee consensus processing," International Journal of Advanced Computer Science (IJACS), vol. 4, no. 1, pp. 14-24, Jan. 2014.
  17. Matthias Althoff, Akshay Rajhans, Bruce Krogh, Soner Yaldiz, Xin Li and Lawrence Pileggi, "Formal verification of phase-locked loops using reachability analysis and continuization," Communications of The ACM (CACM), vol. 56, no. 10, pp. 97-104, Oct. 2013.
  18. Wangyang Zhang*, Karthik Balakrishnan, Xin Li, Duane Boning, Sharad Saxena, Andrzej Strojwas and Rob Rutenbar, "Efficient spatial pattern analysis for variation decomposition via robust sparse regression," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 32, no. 7, pp. 1072-1085, Jul. 2013.
  19. Bodhisatwa Sadhu, Mark Ferriss, Arun Natarajan, Soner Yaldiz, Jean-Olivier Plouchart, Alexander Rylyakov, Alberto Valdes-Garcia, Benjamin Parker, Aydin Babakhani, Scott Reynolds, Xin Li, Lawrence Pileggi, Ramesh Harjani, Jose Tierno and Daniel Friedman, "A linearized, low-phase-noise VCO-based 25GHz PLL with autonomic biasing," IEEE Journal of Solid-State Circuits (JSSC), vol. 48, no. 5, pp. 1138-1150, May. 2013.
  20. Shupeng Sun*, Yamei Feng*, Changdao Dong* and Xin Li, "Efficient SRAM failure rate prediction via Gibbs sampling," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 31, no. 12, pp. 1831-1844, Dec. 2012.
  21. Yongjune Kim, Jaehong Kim, Jun-Jin Kong, Vijayakumar Bhagavatula and Xin Li, "Verify level control criteria for multi-level cell flash memories and their applications," EURASIP Journal on Advances in Signal Processing (JASP), 13 Pages, Dec. 2012.
  22. Wangyang Zhang*, Xin Li, Frank Liu, Emrah Acar, Rob Rutenbar and Ronald Blanton, "Virtual probe: a statistical framework for low-cost silicon characterization of nanoscale integrated circuits," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 30, no. 12, pp. 1814-1827, Dec. 2011. (IEEE Donald O. Pederson Best Paper Award)
  23. Jinyin Zhang*, Gustavo Sudre, Xin Li, Wei Wang, Douglas Weber and Anto Bagic, "Clustering linear discriminant analysis for magnetoencephalography-based brain computer interfaces," IEEE Trans. on Neural Systems and Rehabilitation Engineering (TNSRE), vol. 19, no. 3, pp. 221-231, Jun. 2011.
  24. Xin Li, "Finding deterministic solution from underdetermined equation: large-scale performance modeling of analog/RF circuits," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 29, no. 11, pp. 1661-1668, Nov. 2010.
  25. Chenlei Guo*, Xin Li, Samu Taulu, Wei Wang and Douglas Weber, "Real-time robust signal space separation for magnetoencephalography," IEEE Trans. on Biomedical Engineering (TBME), vol. 57, no. 8, pp. 1856-1866, Aug. 2010.
  26. Yang Xu, Kan-Lin Hsiung, Xin Li, Lawrence Pileggi and Stephen Boyd, "Regular analog/RF integrated circuits design using optimization with recourse including ellipsoidal uncertainty," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 28, no. 5, pp. 623-637, May. 2009.
  27. Xin Li, Jiayong Le, Mustafa Celik and Lawrence Pileggi, "Defining statistical timing sensitivity for logic circuits with large-scale process and environmental variations," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 6, pp. 1041-1054, Jun. 2008.
  28. Xin Li, Yaping Zhang and Lawrence Pileggi, "Quadratic statistical MAX approximation for parametric yield estimation of analog/RF integrated circuits," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 5, pp. 831-843, May. 2008.
  29. Benton Calhoun, Yu Cao, Xin Li, Ken Mai, Lawrence Pileggi, Rob Rutenbar and Kenneth Shepard, "Digital circuit design challenges and opportunities in the era of nanoscale CMOS," Proceedings of The IEEE (PIEEE), vol. 96, no. 2, pp. 343-365, Feb. 2008.
  30. Xin Li, Padmini Gopalakrishnan, Yang Xu and Lawrence Pileggi, "Robust analog/RF circuit design with projection-based performance modeling," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 26, no. 1, pp. 2-15, Jan. 2007.
  31. Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, "Asymptotic probability extraction for nonnormal performance distributions," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 26, no. 1, pp. 16-37, Jan. 2007. (Top 10 Articles of IEEE Trans. CAD Downloaded in 2008)
  32. Xin Li, Xuan Zeng, Dian Zhou and Xieting Ling, "Behavioral modeling for analog system-level simulation by wavelet collocation method," IEEE Trans. on Circuits and Systems - II (TCAS-II), vol. 50, no. 6, pp. 299-314, Jun. 2003.
  33. Xin Li, Bo Hu, Xieting Ling and Xuan Zeng, "A wavelet balance approach for steady-state analysis of nonlinear circuits," IEEE Trans. on Circuits and Systems - I (TCAS-I), vol. 49, no. 5, pp. 689-694, May. 2002.

Conference Papers

Supervised students are delineated with an asterisk (*).
  1. Vishwanath Venkata*, Aswin Sankaranarayanan and Xin Li, "Cross-scale predictive dictionaries for image and video restoration," IEEE International Conference on Image Processing (ICIP), pp. 709-713, 2016.
  2. Lujie Chen, Xin Li, Zhuyun Xia, Zhanmei Song, Louis-Philippe Morency and Artur Dubrawski, "Riding emotional roller-coaster: a multimodal case study of young child’s math problem solving activities," International Conference on Educational Data Mining (EDM), pp. 38-45, 2016.
  3. Chenlei Fang, Qicheng Huang, Fan Yang, Xuan Zeng, Dian Zhou and Xin Li, "Efficient performance modeling of integrated circuits via kernel density based sparse regression," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2016.
  4. Qicheng Huang, Chenlei Fang, Fan Yang, Xuan Zeng, Dian Zhou and Xin Li, "Efficient performance modeling via dual-prior Bayesian model fusion for analog and mixed-signal circuits," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2016.
  5. Fa Wang* and Xin Li, "Correlated Bayesian model fusion: efficient performance modeling of large-scale tunable analog/RF integrated circuits," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2016. (Best Paper Nomination)
  6. Mohamad Alawieh*, Fa Wang* and Xin Li, "Identifying systematic spatial failure patterns through wafer clustering," IEEE International Symposium on Circuits and Systems (ISCAS), pp. 910-913, 2016.
  7. Xiaoming Chen* and Xin Li, "Virtual temperature measurement for smart buildings via Bayesian model fusion," IEEE International Symposium on Circuits and Systems (ISCAS), pp. 950-953, 2016.
  8. Changhai Liao, Jun Tao, Xuan Zeng Yangfeng Su, Dian Zhou and Xin Li, "Efficient spatial variation modeling via robust dictionary learning," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 121-126, 2016.
  9. Marko Jereminov, David Bromberg, Xin Li, Gabriela Hug and Larry Pileggi, "Improving robustness and modeling generality for power flow analysis," IEEE Transmission and Distribution Conference and Exposition (T&D), 5 Pages, 2016.
  10. Marko Jereminov, David Bromberg, Xin Li, Gabriela Hug and Larry Pileggi, "An equivalent circuit formulation for three-phase power flow analysis of distribution systems," IEEE Transmission and Distribution Conference and Exposition (T&D), 5 Pages, 2016.
  11. Mohamad Alawieh*, Fa Wang*, Rouwaida Kanj, Xin Li and Rajiv Joshi, "Efficient analog circuit optimization using sparse regression and error margining," IEEE/ACM International Symposium on Quality Electronic Design (ISQED), pp. 410-415, 2016.
  12. Wandi Liu, Hai Wang, Hengyang Zhao, Shujuan Wang, Haibao Chen, Yuzhuo Fu, Jian Ma, Xin Li and Sheldon Tan, "Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 417-422, 2016.
  13. Fa Wang*, Shihui Yin*, Minhee Jun, Xin Li, Tamal Mukherjee, Rohit Negi and Lawrence Pileggi, "Re-thinking polynomial optimization: efficient programming of reconfigurable radio frequency (RF) systems by convexification," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 545-550, 2016.
  14. Minhee Jun, Rohit Negi, Shihui Yin*, Fa Wang*, Megha Sunny, Tamal Mukherjee and Xin Li, "Phase noise impairment and environment-adaptable fast (EAF) optimization for programming of reconfigurable radio frequency (RF) receivers," IEEE Global Communications Conference (GLOBECOM), 6 Pages, 2015.
  15. Hengyang Zhao, Denial Quach, Shujuan Wang, Hai Wang, Xin Li and Sheldon Tan, "Learning based compact thermal modeling for energy-efficient smart building management," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 450-456, 2015.
  16. Xiaoming Chen*, Xin Li and Sheldon Tan, "From robust chip to smart building: CAD algorithms and methodologies for uncertainty analysis of building performance," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 457-464, 2015.
  17. Fa Wang*, Manzil Zaheer*, Xin Li, Jean-Oliver Plouchart and Alberto Valdes-Garcia, "Co-learning Bayesian model fusion: efficient performance modeling of analog and mixed-signal circuits using side information," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 575-582, 2015.
  18. Ronald Blanton, Xin Li, Ken Mai, Diana Marculescu, Radu Marculescu, Jeyanandh Paramesh, Jeff Schneider and Donald Thomas, "Statistical learning in chip (SLIC)," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 664-669, 2015.
  19. Xiaoming Chen*, David Bromberg, Xin Li, Lawrence Pileggi and Gabriela Hug, "A robust and efficient power series method for tracing PV curves," IEEE North American Power Symposium (NAPS), 6 Pages, 2015.
  20. Shupeng Sun* and Xin Li, "Fast statistical analysis of rare circuit failure events via Bayesian scaled-sigma sampling for high-dimensional variation space," IEEE Custom Integrated Circuits Conference (CICC), 4 Pages, 2015. (Best Student Paper Nomination)
  21. Hosson Shin, Yue Zhao, Sheldon Tan, Guoyong Shi and Xin Li, "Statistical rare event analysis using smart sampling and parameter guidance," IEEE International System-on-Chip Conference (SOCC), pp. 53-58, 2015.
  22. Jean-Olivier Plouchart, Fa Wang*, Andreea Balteanu, Ben Parker, Mihai Sanduleanu, Mark Yeck, Vanessa Chen, Wayne Woods, Bodhisatwa Sadhu, Alberto Valdes-Garcia, Xin Li and Daniel Friedman, "A 18mW, 2.2dB NF, 60GHz LNA in 32nm SOI CMOS technology with autonomic NF calibration," IEEE Radio Frequency Integrated Circuits Symposium (RFIC), pp. 319-322, 2015.
  23. Yuhao Wang*, Xin Li, Hao Yu, Leibin Ni, Wei Yang, Chuliang Weng and Junfeng Zhao, "Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar," IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 13-18, 2015.
  24. Qicheng Huang, Chenlei Fang, Fan Yang, Xuan Zeng and Xin Li, "Efficient multivariate moment estimation via Bayesian model fusion for analog and mixed-signal circuits," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2015.
  25. Manzil Zaheer*, Fa Wang*, Chenjie Gu and Xin Li, "mTunes: efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision process," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2015.
  26. Beiye Liu, Xin Li, Qing Wu, Tingwen Huang, Hai Li and Yiran Chen, "Vortex: variation-aware training for memristor X-bar," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2015.
  27. Wei Wen, Chi-Ruo Wu, Xiaofang Hu, Beiye Liu, Tsung-Yi Ho, Xin Li and Yiran Chen, "An EDA framework for large scale hybrid neuromorphic computing systems," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2015. (Best Paper Nomination)
  28. Xiaochen Liu, Shupeng Sun*, Pingqiang Zhou, Xin Li and Haifeng Qian, "A statistical  methodology for noise sensor placement and full-chip voltage map generation," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2015.
  29. Hyungsu Jeong, Minho Won*, Weijing Shi*, Jeffery Weldon, Xin Li and Kai Wang, "Feasibility study of a dual-gate photosensitive thin-film transistor for fingerprint integrated active-matrix display," SID International Symposium (SID), pp. 1131-1134, 2015.
  30. Beiye Liu, Wei Wen, Yiran Chen, Xin Li, Chi-Ruo Wu and Tsung-Yi Ho, "EDA challenges for memristor-crossbar based neuromorphic computing," IEEE/ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 185-188, 2015.
  31. Po-Hsun Wu*, Po-Hung Lin, Xin Li and Tsung-Yi Ho, "Common-centroid FinFET placement considering the impact of gate misalignment," ACM International Symposium on Physical Design (ISPD), pp. 25-31, 2015.
  32. Chenlei Fang, Qicheng Huang, Fan Yang, Xuan Zeng, Xin Li and Chenjie Gu, "Efficient bit error rate estimation for high-speed link by Bayesian model fusion," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 1024-1029, 2015.
  33. John Liaperdos, Haralampos Stratigopoulos, Louay Abdallah, Yiorgos Tsiatouhas, Angela Arapoyanni and Xin Li, "Fast deployment of alternate analog test using Bayesian model fusion," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 1030-1035, 2015.
  34. Hugo Goncalves*, Xin Li, Miguel Correia, Vitor Tavares, John Carulli and Kenneth Butler, "A fast spatial variation modeling algorithm for efficient test cost reduction of analog/RF circuits," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 1042-1047, 2015.
  35. David Bromberg, Marko Jereminov, Xin Li, Gabriela Hug and Lawrence Pileggi, "An equivalent circuit formulation of the power flow problem with current and voltage state variables," IEEE PowerTech (PowerTech), 6 Pages, 2015.
  36. Shupeng Sun* and Xin Li, "Fast statistical analysis of rare failure events for memory circuits in high-dimensional variation space," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 302-307, 2015.
  37. Ying-Chih Wang*, Shihui Yin*, Minhee Jun, Xin Li, Lawrence Pileggi, Tamal Mukherjee and Rohit Negi, "Accurate passivity-enforced macromodeling for RF circuits via iterative zero/pole update based on measurement data," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 441-446, 2015.
  38. Qicheng Huang, Xiao Li, Fan Yang, Xuan Zeng and Xin Li, "SIPredict: efficient post-layout waveform prediction via system identification," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 460-465, 2015.
  39. Xin Li, Ronald Blanton, Pulkit Grover and Donald Thomas, "Ultra-low-power biomedical circuit design and optimization: catching the don’t cares," IEEE International Symposium on Integrated Circuits (ISIC), pp. 115-118, 2014. (Best Paper Award)
  40. Ronald Blanton, Xin Li, Ken Mai, Diana Marculescu, Radu Marculescu, Jeyanandh Paramesh, Jeff Schneider and Donald Thomas, "SLIC: statistical learning in chip," IEEE International Symposium on Integrated Circuits (ISIC), pp. 119-123, 2014.
  41. Minhee Jun, Jun Tao*, Ying-Chih Wang*, Shihui Yin*, Rohit Negi, Xin Li, Tamal Mukherjee and Lawrence Pileggi, "Environment-adaptable efficient optimization for programming of reconfigurable radio frequency (RF) receivers," IEEE Military Communications Conference (MILCOM), pp. 1459-1465, 2014.
  42. Beiye Liu, Tingwen Huang, Qing Wu, Mark Barnell, Xin Li and Yiran Chen, "Reduction and IR-drop compensations techniques for reliable neuromorphic computing systems," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 63-70, 2014.
  43. Manzil Zaheer*, Xin Li and Chenjie Gu, "MPME-DP: multi-population moment estimation via Dirichlet process for efficient validation of analog/mixed-signal circuits," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 316-323, 2014.
  44. Shupeng Sun* and Xin Li, "Fast statistical analysis of rare circuit failure events via subset simulation in high-dimensional variation space," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 324-331, 2014. (Best Paper Nomination)
  45. Shanghang Zhang, Xin Li, Ronald Blanton, Jose Machado da Silva, John Carulli and Kenneth Butler, "Bayesian model fusion: enabling test cost reduction of analog/RF circuits via wafer-level spatial variation modeling," IEEE International Test Conference (ITC), 10 Pages, 2014.
  46. Kyri Baker*, Gabriela Hug and Xin Li, "Optimal storage sizing using two-stage stochastic optimization for intra-hourly dispatch," IEEE North American Power Symposium (NAPS), 6 Pages, 2014.
  47. Majid Mahzoon, Hassan Albalawi*, Xin Li and Pulkit Grover, "Using relative-relevance of data pieces for efficient communication with an application to neural data acquisition," IEEE Annual Allerton Conference on Communication, Control and Computing (Allerton), pp. 160-166, 2014.
  48. Minho Won*, Hassan Albalawi*, Xin Li and Donald Thomas, "Low-power hardware implementation of movement decoding for brain computer interface with reduced-resolution discrete cosine transform," Annual International Conference of IEEE Engineering in Medicine and Biology Society (EMBC), pp. 1626-1629, 2014.
  49. Chenlei Fang, Fan Yang, Xuan Zeng and Xin Li, "BMF-BD: Bayesian model fusion on Bernoulli distribution for efficient yield estimation of integrated circuits," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2014. (Best Paper Nomination)
  50. Hassan Albalawi*, Yuanning Li* and Xin Li, "Computer-aided design of machine learning algorithm: training fixed-point classifier for on-chip low-power implementation," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2014.
  51. Hugo Goncalves*, Miguel Correia, Xin Li, Aswin Sankaranarayanan and Vitor Tavares, "DALM-SVD: accelerated sparse coding through singular value decomposition of the dictionary," IEEE International Conference on Image Processing (ICIP), pp. 4907-4911, 2014.
  52. Ming Li and Xin Li, "Verification based ECG biometrics with cardiac irregular conditions using heartbeat level and segment level information fusion," IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp. 3769-3773, 2014.
  53. Jun Tao*, Ying-Chih Wang*, Minhee Jun, Xin Li, Rohit Negi, Tamal Mukherjee and Lawrence Pileggi, "Toward efficient programming of reconfigurable radio frequency (RF) receivers," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 256-261, 2014.
  54. Ronald Blanton, Fa Wang*, Cheng Xue, Pranab Nag, Yang Xue and Xin Li, "DREAMS: DFM rule evaluation using manufactured silicon," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 99-106, 2013.
  55. Shupeng Sun*, Xin Li, Hongzhou Liu, Kangsheng Luo and Ben Gu, "Fast statistical analysis of rare circuit failure events via scaled-sigma sampling for high-dimensional variation space," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 478-485, 2013.
  56. Xin Li, Fa Wang*, Shupeng Sun* and Chenjie Gu, "Bayesian model fusion: a statistical framework for efficient pre-silicon validation and post-silicon tuning of complex analog and mixed-signal circuits," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 795-802, 2013.
  57. Donald Krieger, Malcolm McNeil, Jinyin Zhang*, Walter Schneider, Xin Li and David Okonkwo, "Referee consensus: a platform technology for nonlinear optimization," Conference on Extreme Science and Engineering Discovery Environment (XSEDE), 7 Pages, 2013.
  58. Yang Xue*, Osei Poku, Xin Li and Ronald Blanton, "PADRE: physically-aware diagnostic resolution enhancement," IEEE International Test Conference (ITC), 10 Pages, 2013.
  59. Chun-Kai Hsu, Fan Lin, Kwang-Ting Cheng, Wangyang Zhang*, Xin Li, John Carulli and Kenneth Butler, "Test data analytics - exploring spatial and test-item correlations in production test data," IEEE International Test Conference (ITC), 10 Pages, 2013.
  60. Kyri Baker*, Dinghuan Zhu, Gabriela Hug and Xin Li, "Jacobian singularities in optimal power flow problems caused by intertemporal constraints," IEEE North American Power Symposium (NAPS), 6 Pages, 2013.
  61. Shupeng Sun*, Fa Wang*, Soner Yaldiz, Xin Li, Lawrence Pileggi, Arun Natarajan, Mark Ferriss, Jean-Olivier Plouchart, Bodhisatwa Sadhu, Benjamin Parker, Alberto Valdes-Garcia, Mihai Sanduleanu, Jose Tierno and Daniel Friedman, "Indirect performance sensing for on-chip analog self-healing via Bayesian model fusion," IEEE Custom Integrated Circuits Conference (CICC), 4 Pages, 2013.
  62. Shupeng Sun*, Xin Li and Chenjie Gu, "Structure-aware high-dimensional performance modeling for analog and mixed-signal circuits," IEEE Custom Integrated Circuits Conference (CICC), 4 Pages, 2013.
  63. Yu Zhang, Yubai Li, Xin Li and Shi-Chune Yao, "Strip-and-zone micro-channel liquid cooling of integrated circuit chips," ASME Heat Transfer Summer Conference (HT), 10 Pages, 2013.
  64. Fa Wang*, Wangyang Zhang*, Shupeng Sun*, Xin Li and Chenjie Gu, "Bayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2013.
  65. Wangyang Zhang*, Xin Li, Sharad Saxena, Andrzej Strojwas and Rob Rutenbar, "Automatic clustering of wafer spatial signatures," IEEE/ACM Design Automation Conference (DAC), 6 Pages, 2013.
  66. Chenjie Gu, Eli Chiprout and Xin Li, "Efficient moment estimation with extremely small sample size via Bayesian inference for analog/mixed-signal validation," IEEE/ACM Design Automation Conference (DAC), 7 Pages, 2013.
  67. Xin Li, "Post-silicon performance modeling and tuning of analog/mixed-signal circuits via Bayesian model fusion," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 551-552, 2012.
  68. Xin Li, Wangyang Zhang*, Fa Wang*, Shupeng Sun* and Chenjie Gu, "Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 627-634, 2012.
  69. Kyri Baker*, Gabriela Hug and Xin Li, "Inclusion of inter-temporal constraints into a distributed Newton-Raphson method," IEEE North American Power Symposium (NAPS), 6 Pages, 2012.
  70. Xin Li, Wangyang Zhang* and Fa Wang*, "Large-scale statistical performance modeling of analog and mixed-signal circuits," IEEE Custom Integrated Circuits Conference (CICC), 8 Pages, 2012.
  71. Kyri Baker*, Gabriela Hug and Xin Li, "Optimal integration of intermittent energy sources using distributed model predictive control," IEEE Power & Energy Society General Meeting (PES), 8 Pages, 2012.
  72. Fa Wang*, Gokce Keskin, Andrew Phelps, Jonathan Rotner, Xin Li, Gary Fedder, Tamal Mukherjee and Lawrence Pileggi, "Statistical design and optimization for adaptive post-silicon tuning of MEMS filters," IEEE/ACM Design Automation Conference (DAC), pp. 176-181, 2012.
  73. Huapeng Zhou*, Xin Li, Chen-Yong Cher, Eren Kursun, Haifeng Qian and Shi-Chune Yao, "An information-theoretic framework for optimal temperature sensor allocation and full-chip thermal monitoring," IEEE/ACM Design Automation Conference (DAC), pp. 642-647, 2012.
  74. Dacheng Juan, Huapeng Zhou*, Diana Marculescu and Xin Li, "A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 597-602, 2012.
  75. Wangyang Zhang*, Karthik Balakrishnan, Xin Li, Duane Boning and Rob Rutenbar, "Toward efficient spatial variation decomposition via sparse regression," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 162-169, 2011.
  76. Matthias Althoff, Akshay Rajhans, Bruce Krogh, Soner Yaldiz, Xin Li and Lawrence Pileggi, "Formal verification of phase-locked loops using reachability analysis and continuization," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 659-666, 2011. (Best Paper Award)
  77. Hsiu-Ming Chang, Kwang-Ting Cheng, Wangyang Zhang*, Xin Li and Kenneth Butler, "Test cost reduction through performance prediction using virtual probe," IEEE International Test Conference (ITC), 9 Pages, 2011.
  78. Soner Yaldiz, Vehbi Calayir, Xin Li, Lawrence Pileggi, Arun Natarajan, Mark Ferriss and Jose Tierno, "Indirect phase noise sensing for self-healing voltage controlled oscillators," IEEE Custom Integrated Circuits Conference (CICC), 4 Pages, 2011.
  79. Jinyin Zhang*, Gustavo Sudre, Xin Li, Wei Wang, Douglas Weber and Anto Bagic, "Task-related MEG source localization via discriminant analysis," Annual International Conference of IEEE Engineering in Medicine and Biology Society (EMBC), pp. 2351-2354, 2011.
  80. Changdao Dong* and Xin Li, "Efficient SRAM failure rate prediction via Gibbs sampling," IEEE/ACM Design Automation Conference (DAC), pp. 200-205, 2011.
  81. Xin Li, "Rethinking memory redundancy: optimal bit cell repair for maximum-information storage," IEEE/ACM Design Automation Conference (DAC), pp. 316-321, 2011.
  82. Pei Sun*, Xin Li and Ming-Yuan Ting, "Efficient incremental analysis of on-chip power grid via sparse approximation," IEEE/ACM Design Automation Conference (DAC), pp. 676-681, 2011.
  83. Xin Li, "Maximum-information storage system: concept, implementation and application," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 39-46, 2010.
  84. Wangyang Zhang*, Xin Li, Emrah Acar, Frank Liu and Rob Rutenbar, "Multi-wafer virtual probe: minimum-cost variation characterization by exploring wafer-to-wafer correlation," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 47-54, 2010.
  85. Wangyang Zhang*, Xin Li and Rob Rutenbar, "Bayesian virtual probe: minimizing variation characterization cost for nanoscale IC technologies via Bayesian inference," IEEE/ACM Design Automation Conference (DAC), pp. 262-267, 2010. (Best Paper Award)
  86. Wangyang Zhang*, Tsung-Hao Chen, Ming-Yuan Ting and Xin Li, "Toward efficient large-scale performance modeling of integrated circuits via multi-mode/multi-corner sparse regression," IEEE/ACM Design Automation Conference (DAC), pp. 897-902, 2010.
  87. Xin Li, Rob Rutenbar and Ronald Blanton, "Virtual probe: a statistically optimal framework for minimum-cost silicon characterization of nanoscale integrated circuits," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 433-440, 2009.
  88. Xin Li, "Finding deterministic solution from underdetermined equation: large-scale performance modeling by least angle regression," IEEE/ACM Design Automation Conference (DAC), pp. 364-369, 2009.
  89. Hong Zhang, Tsung-Hao Chen, Ming-Yuan Ting and Xin Li, "Efficient design-specific worst-case corner extraction for integrated circuits," IEEE/ACM Design Automation Conference (DAC), pp. 386-389, 2009.
  90. Jian Wang, Soner Yaldiz, Xin Li and Lawrence Pileggi, "SRAM parametric failure analysis," IEEE/ACM Design Automation Conference (DAC), pp. 496-501, 2009.
  91. Soner Yaldiz, Umut Arslan, Xin Li and Lawrence Pileggi, "Efficient statistical analysis of read timing failures in SRAM circuits," IEEE/ACM International Symposium on Quality Electronic Design (ISQED), pp. 617-621, 2009.
  92. Lawrence Pileggi, Gokce Keskin, Xin Li, Ken Mai and Jon Proesel, "Mismatch analysis and statistical design at 65nm and below," IEEE Custom Integrated Circuits Conference (CICC), pp. 9-12, 2008.
  93. Umut Arslan, Mark McCartney, Mudit Bhargava, Xin Li, Ken Mai and Lawrence Pileggi, "Variation-tolerant SRAM sense-amp timing using configurable replica bitlines," IEEE Custom Integrated Circuits Conference (CICC), pp. 415-418, 2008.
  94. Xin Li and Hongzhou Liu, "Statistical regression for efficient high-dimensional modeling of analog and mixed-signal performance variations," IEEE/ACM Design Automation Conference (DAC), pp. 38-43, 2008.
  95. Xin Li and Yu Cao, "Projection-based piecewise-linear response surface modeling for strongly nonlinear VLSI performance variations," IEEE/ACM International Symposium on Quality Electronic Design (ISQED), pp. 108-113, 2008.
  96. Xin Li, Brian Taylor, Yu-Tsun Chen and Lawrence Pileggi, "Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 450-457, 2007.
  97. Xin Li and Lawrence Pileggi, "Efficient parametric yield extraction for multiple correlated non-Normal performance distributions of analog/RF circuits," IEEE/ACM Design Automation Conference (DAC), pp. 928-933, 2007.
  98. Jian Wang, Xin Li and Lawrence Pileggi, "Parameterized macromodeling for analog system-level design exploration," IEEE/ACM Design Automation Conference (DAC), pp. 940-943, 2007.
  99. Gokce Keskin, Xin Li and Lawrence Pileggi, "Active on-die suppression of power supply noise," IEEE Custom Integrated Circuits Conference (CICC), pp. 813-816, 2006.
  100. Xin Li, Jiayong Le and Lawrence Pileggi, "Projection-based statistical analysis of full-chip leakage power with non-log-Normal distributions," IEEE/ACM Design Automation Conference (DAC), pp. 103-108, 2006.
  101. Padmini Gopalakrishnan, Xin Li and Lawrence Pileggi, "Architecture-aware FPGA placement using metric embedding," IEEE/ACM Design Automation Conference (DAC), pp. 460-465, 2006. (Best Paper Nomination)
  102. Xin Li, Jian Wang, Lawrence Pileggi, Tun-Shih Chen and Wanju Chiang, "Performance-centering optimization for system-level analog design exploration," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 422-429, 2005.
  103. Xin Li, Jiayong Le, Lawrence Pileggi and Andrzej Strojwas, "Projection-based performance modeling for inter/intra-die variations," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 721-727, 2005.
  104. Xin Li, Peng Li and Lawrence Pileggi, "Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 806-812, 2005.
  105. Xin Li, Jiayong Le, Mustafa Celik and Lawrence Pileggi, "Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 844-851, 2005.
  106. Yaping Zhan, Andrzej Strojwas, Xin Li, Lawrence Pileggi, David Newmark and Mahesh Sharma, "Correlation aware statistical timing analysis with non-Gaussian delay distributions," IEEE/ACM Design Automation Conference (DAC), pp. 77-82, 2005.
  107. Yang Xu, Kan-Lin Hsiung, Xin Li, Ivan Nausieda, Stephen Boyd and Lawrence Pileggi, "OPERA: optimization with ellipsoidal uncertainty for robust analog IC design," IEEE/ACM Design Automation Conference (DAC), pp. 632-637, 2005.
  108. Peng Li, Frank Liu, Xin Li, Lawrence Pileggi and Sani Nassif "Modeling interconnect variability using efficient parametric model order reduction," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 958-963, 2005.
  109. Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, "Asymptotic probability extraction for non-Normal distributions of circuit performance," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 2-9, 2004. (Best Paper Award)
  110. Xin Li, Padmini Gopalakrishnan, Yang Xu and Lawrence Pileggi, "Robust analog/RF circuit design with projection-based posynomial modeling," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 855-862, 2004.
  111. Jiayong Le, Xin Li and Lawrence Pileggi, "STAC: statistical timing analysis with correlation," IEEE/ACM Design Automation Conference (DAC), pp. 343-348, 2004.
  112. Xin Li, Yang Xu, Peng Li, Padmini Gopalakrishnan and Lawrence Pileggi, "A frequency relaxation approach for analog/RF system-level simulation," IEEE/ACM Design Automation Conference (DAC), pp. 842-847, 2004.
  113. Peng Li, Xin Li, Yang Xu and Lawrence Pileggi, "A hybrid approach to nonlinear macromodel generation for time-varying analog circuits," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 454-461, 2003.
  114. Xin Li, Peng Li, Yang Xu and Lawrence Pileggi, "Analog and RF circuit macromodels for system-level analysis," IEEE/ACM Design Automation Conference (DAC), pp. 478-483, 2003.
  115. Yang Xu, Xin Li, Peng Li and Lawrence Pileggi, "Noise macromodel for radio frequency integrated circuits," IEEE/ACM Design, Automation & Test in Europe (DATE), pp. 150-155, 2003.
  116. Xin Li, Peng Li, Yang Xu, Robert Dimaggio and Lawrence Pileggi, "A frequency separation macromodel for system-level simulation of RF circuits," IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 891-896, 2003.
  117. Xin Li, Xuan Zeng, Dian Zhou and Xieting Ling, "Wavelet method for high-speed clock tree simulation," IEEE International Symposium on Circuits and Systems (ISCAS), vol. 1, pp. 177-180, 2002.
  118. Xin Li, Xuan Zeng, Dian Zhou and Xieting Ling, "Behavioral modeling of analog circuits by wavelet collocation method," IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 65-69, 2001.
  119. Xin Li, Bo Hu, Xieting Ling and Xuan Zeng, "A wavelet balance approach for steady-state analysis of nonlinear circuits," IEEE International Symposium on Circuits and Systems (ISCAS), vol. 2, pp. 73-76, 2001.
  120. Hui Luo, Ruey-Wen Liu, Xieting Ling and Xin Li, "The autocorrelation matching method for distributed MIMO communications over unknown FIR channels", IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), vol. 4, pp. 2161-2164, 2001.

Patents

  1. Lawrence Pileggi and Xin Li, "Tunable integrated circuit design for nano-scale technologies," US Patent 7,945,868, May. 2011.
  2. Xin Li, Peng Li and Lawrence Pileggi, "Method for parameterized model order reduction of integrated circuit interconnects,” US Patent 7,908,131, Mar. 2011.
  3. Jimmy Zhu, Yi Luo and Xin Li, "Crossbar diode-switched magnetoresistive random access memory system," US Patent 7,826,258, Nov. 2010.
  4. Xin Li and Lawrence Pileggi, "Statistical optimization and design method for analog and digital circuits," US Patent 7,669,150, Feb. 2010.
  5. Xin Li, Yang Xu, Peng Li and Lawrence Pileggi, "Analog and radio frequency (RF) system-level simulation using frequency relaxation," US Patent 7,653,524, Jan. 2010.
  6. Mustafa Celik, Jiayong Le, Lawrence Pileggi and Xin Li, "Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations," US Patent 7,487,486, Feb. 2009.