Formal Verification of Phase-Locked Loops Using Reachability Analysis and Continuization

M. Althoff, A. Rajhans, B. Krogh, S. Yaldiz, X. Li, and L. Pileggi, “Formal Verification of Phase-Locked Loops Using Reachability Analysis and Continuization”, Communications of the ACM (invited paper), 2012.

8-bit Flash ADC Design Based on Post-Manufacturing Statistical Element Selection

Gokce Keskin, Jon Proesel and Larry Pileggi, “8-bit Flash ADC Design Based on Post-Manufacturing Statistical Element Selection”, IEEE Journal of Solid State Circuits (Invited), Volume 46 , Issue 8, May 2011.

Design Technology Co-optimization for Predictive Technology Scaling Beyond Gratings, Invited Keynote Paper

Tejas Jhaveri, Vyacheslav Rovner, Lars Liebmann, Larry Pileggi, Andrzej Strojwas, Jason D. Hibbeler, “Design Technology Co-optimization for Predictive Technology Scaling Beyond Gratings, Invited Keynote Paper”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 4, April 2010.

Defining statistical timing sensitivity for logic circuits with large-scale process and environmental variations

Xin Li, Jiayong Le, Mustafa Celik and Lawrence Pileggi, “Defining statistical timing sensitivity for logic circuits with large-scale process and environmental variations”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 6, pp. 1041-1054, June 2008.

Quadratic Statistical MAX Approximation for Parametric Yield Estimation of Analog/RF Integrated Circuits

Xin Li, Yaping Zhan and Lawrence Pileggi, “Quadratic Statistical MAX Approximation for Parametric Yield Estimation of Analog/RF Integrated Circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 5, pp. 831-843, May 2008.

Digital circuit design challenges and opportunities in the era of nanoscale CMOS

Benton Calhoun, Yu Cao, Xin Li, Ken Mai, Lawrence Pileggi, Rob Rutenbar and Kenneth Shepard, “Digital circuit design challenges and opportunities in the era of nanoscale CMOS”, Proceedings of The IEEE (PTI), vol. 96, no. 2, pp. 343-365, February 2008.

Statistical Performance Modeling and Optimization

Xin Li, Jiayong Le, Lawrence Pileggi, “Statistical Performance Modeling and Optimization”, Foundations and Trends in Electronic Design Automation: Vol. 1: No 4, pp 331-480, January 2007.

Maximization of Layout Printability/Manufacturability by Extreme Layout Regularity

Tejas Jhaveri, Vyacheslav Rovner, Larry Pileggi, Andrzej J. Strojwas, et al., “Maximization of Layout Printability/Manufacturability by Extreme Layout Regularity”, Journal of Micro/Nanolithography, MEMS, and MOEMS, Vol 6 (03), January 2007.

Asymptotic probability extraction for non-Normal performance distributions

Xin Li, Jiayong Le, Padmini Gopalakrishnan and Lawrence Pileggi, “Asymptotic probability extraction for non-Normal performance distributions”, IEEE Trans. on Computer-Aided Design of Integrated Circuits (TCAD), January 2007.

Robust analog/RF circuit design with projection-based performance modeling

Xin Li, Padmini Gopalakrishnan, Yang Xu and Lawrence Pilegg, “Robust analog/RF circuit design with projection-based performance modeling”, IEEE Trans. on Computer-Aided Design of Integrated Circuits (TCAD), January 2007.