A Methodology for Analog Circuit Macromodeling

R. Batra, P. Li, Y-T. Chen and L. Pileggi, “A Methodology for Analog Circuit Macromodeling”, IEEE International Workshop on Behavioral Modeling and Simulation, October 2004.

Toward an Integrated Design Methodology Fault Tolerant

R. Marculescu, D. Marculescu and L. Pileggi, “Toward an Integrated Design Methodology Fault Tolerant”, Multiple Clock/Voltage Integrated Systems, Proceedings of the International Conference on Computer Design, October 2004.

Asymptotic Probability Extraction for Non-Normal Distributions of Circuit Performance

X. Li, J. Le, P. Gopalakrishnan and L. Pileggi, “Asymptotic Probability Extraction for Non-Normal Distributions of Circuit Performance”, Proceedings of the International Conference on Computer-Aided Design (Best Paper Award), November 2004.

Robust Analog/RF Circuit Design with Projection-Based Posynomial

X. Li and L. Pileggi, “Robust Analog/RF Circuit Design with Projection-Based Posynomial”, Proceedings of the International Conference on Computer-Aided Design, November 2004.

Efficient Harmonic Balance Simulation Using Multi-Level Frequency Decomposition

P. Li and L. Pileggi, “Efficient Harmonic Balance Simulation Using Multi-Level Frequency Decomposition”, Proceedings of the International Conference on Computer-Aided Design, November 2004.

Efficient Full-Chip Thermal Modeling and Analysis

P. Li, L. Pileggi, M. Ashegi, R. Chandra, “Efficient Full-Chip Thermal Modeling and Analysis”, Proceedings of the International Conference on Computer-Aided Design, November 2004.

A Power Aware System Level Interconnect Design Methodology for Latency-Insensitive

V. Chandra, H. Schmit and L. Pileggi, “A Power Aware System Level Interconnect Design Methodology for Latency-Insensitive”, Proceedings of the International Conference on Computer-Aided Design, November 2004.