Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Last revisionBoth sides next revision
pipeline_synthesis_from_transaction-based_specifications [2017/09/29 14:11] – external edit 127.0.0.1pipeline_synthesis_from_transaction-based_specifications [2021/11/22 03:36] edit
Line 4: Line 4:
  
   * **Student**   * **Student**
-    * [[http://www.ece.cmu.edu/~enurvita |Eriko Nurvitadhi]]  ([[http://www.ece.cmu.edu/~jhoe/distribution/2010/nurvitadhi.pdf |PhD Thesis]])+    * [[http://www.ece.cmu.edu/~enurvita |Eriko Nurvitadhi]]  ([[https://users.ece.cmu.edu/~jhoe/distribution/2010/nurvitadhi.pdf |PhD Thesis]])
   * **T-piper tools, examples and tutorials** ([[http://www.t-piper.net |website]])   * **T-piper tools, examples and tutorials** ([[http://www.t-piper.net |website]])
   * **Publications**   * **Publications**
-    * **Integrating Formal Verification and High-Level Processor Pipeline Synthesis**. E. Nurvitadhi, J. C. Hoe, S. L. Lu and T. Kam.  Symposium on Application Specific Processors (SASP), June 2011. ([[http://www.ece.cmu.edu/~jhoe/distribution/2011/sasp11.pdf |pdf]])+    * **Integrating Formal Verification and High-Level Processor Pipeline Synthesis**. E. Nurvitadhi, J. C. Hoe, S. L. Lu and T. Kam.  Symposium on Application Specific Processors (SASP), June 2011. ([[https://users.ece.cmu.edu/~jhoe/distribution/2011/sasp11.pdf |pdf]])
     * **Automatic Pipelining from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, T. Kam, S. L. Lu.  IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, Volume 30, Number 3,March 2011. ([[http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=5715612 |ieee]], this is the fully-length journal version of the DATE 2010 paper.)      * **Automatic Pipelining from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, T. Kam, S. L. Lu.  IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, Volume 30, Number 3,March 2011. ([[http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=5715612 |ieee]], this is the fully-length journal version of the DATE 2010 paper.) 
-    * **Automatic Pipeline Synthesis and Formal Verification from Transactional Datapath Specifications**. E. Nurvitadhi, PhD Thesis, December 2010. ([[http://www.ece.cmu.edu/~jhoe/distribution/2010/nurvitadhi.pdf |pdf]]) +    * **Automatic Pipeline Synthesis and Formal Verification from Transactional Datapath Specifications**. E. Nurvitadhi, PhD Thesis, December 2010. ([[https://users.ece.cmu.edu/~jhoe/distribution/2010/nurvitadhi.pdf |pdf]]) 
-    * **Automatic Multithreaded Pipeline Synthesis from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, S. L. Lu and T. Kam. Design Automation Conference (DAC), June 2010. ([[http://www.ece.cmu.edu/~jhoe/distribution/2010/dac10.pdf |pdf]]) +    * **Automatic Multithreaded Pipeline Synthesis from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, S. L. Lu and T. Kam. Design Automation Conference (DAC), June 2010. ([[https://users.ece.cmu.edu/~jhoe/distribution/2010/dac10.pdf |pdf]]) 
-    * **Automatic Pipelining from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, T. Kam and S. L. Lu. Design Automation and Test in Europe (DATE) , March 2010. ([[http://www.ece.cmu.edu/~jhoe/distribution/2010/date10.pdf |pdf]])+    * **Automatic Pipelining from Transactional Datapath Specifications**. E. Nurvitadhi, J. C. Hoe, T. Kam and S. L. Lu. Design Automation and Test in Europe (DATE) , March 2010. ([[https://users.ece.cmu.edu/~jhoe/distribution/2010/date10.pdf |pdf]])