Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
a_short_intro_to_modelsim_verilog_simulator [2021/11/22 03:34] edita_short_intro_to_modelsim_verilog_simulator [2022/09/01 18:08] (current) – [Running ModelSim] edit
Line 67: Line 67:
 The simulation will run until it encounters a ''$stop'' or ''$finish'' command in your .v files. If you are using only ''$display'' and ''$print'' for debugging, this is all the ModelSim you need know. In fact, if this is all you do, you can just type The simulation will run until it encounters a ''$stop'' or ''$finish'' command in your .v files. If you are using only ''$display'' and ''$print'' for debugging, this is all the ModelSim you need know. In fact, if this is all you do, you can just type
  
-''vsim -c -do "run -all" top''+''vsim -c -do "run -all" top''   
 + 
 +//(Note: in the above, if you cut-and-paste, you need to retype with straight quotation marks.)//
  
 at the Unix command prompt to run vsim in text mode (without X). at the Unix command prompt to run vsim in text mode (without X).