Refereed Conference & Journal Publications


Jump to: Book Chapters | Patents | Posters | View Publications on Google Scholar >

2020

D. Senol Cali, G. S. Kalsi, Z. Bingöl, C. Firtana, L. Subramanian, J. S. Kim, R. Ausavarungnirun, M. Alser, J. Gómez-Luna, A. Boroumand, A. Nori, A. Scibisz, S. Subramoney, C. Alkan, S. Ghose, and O. Mutlu
to appear in Proc. of the International Symposium on Microarchitecture (MICRO), October 2020
Y. Wang, L. Orosa, X. Peng, Y. Guo, S. Ghose, M. Patel, J. S. Kim, J. Gómez-Luna, M. Sadrosadati, N. Mansouri Ghiasi, and O. Mutlu
to appear in Proc. of the International Symposium on Microarchitecture (MICRO), October 2020
M. Alser, Z. Bingöl, D. Senol Cali, J. S. Kim, S. Ghose, C. Alkan, and O. Mutlu
IEEE Micro, September/October 2020
N. Hajinazar, P. Patel, M. Patel, K. Kanellopoulos, S. Ghose, R. Ausavarungnirun, G. F. de Oliveira Jr., J. Appavoo, V. Seshadri, and O. Mutlu
in Proc. of the International Symposium on Computer Architecture (ISCA), June 2020

2019

S. Ghose, A. Boroumand, J. S. Kim, J. Gómez-Luna, and O. Mutlu
in IBM Journal of Research and Development (JRD), Vol. 63, No. 6, November/December 2019
S. Ghose, T. Li, N. Hajinazar, D. Senol Cali, and O. Mutlu
in Proc. of the Joint ACM SIGMETRICS/IFIP Performance Conference, Phoenix, AZ, June 2019
to appear in Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 3, No. 3, December 2019
A. Boroumand, S. Ghose, M. Patel, H. Hassan, B. Lucia, R. Ausavarungnirun, K. Hsieh, N. Hajinazar, K. T. Malladi, H. Zheng, and O. Mutlu
in Proc. of the International Symposium on Computer Architecture (ISCA), Phoenix, AZ, June 2019
H. Hassan, M. Patel, J. S. Kim, A. G. Yağlıkçı, N. Vijaykumar, N. Mansouri Ghiasi, S. Ghose, and O. Mutlu
in Proc. of the International Symposium on Computer Architecture (ISCA), Phoenix, AZ, June 2019
O. Mutlu, S. Ghose, J. Gómez-Luna, and R. Ausavarungnirun
invited paper in Proc. of the Design Automation Conference (DAC), Las Vegas, NV, June 2019
O. Mutlu, S. Ghose, J. Gómez-Luna, and R. Ausavarungnirun
invited paper in Microprocessors and Microsystems (MICPRO), Vol. 67, June 2019
Y. Li, C. R. Lefurgy, K. Rajamani, M. S. Allen-Ware, G. J. Silva, D. D. Heimsoth, S. Ghose, and O. Mutlu
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), Washington, DC, February 2019

2018

Y. Wang, A. Tavakkol, L. Orosa, S. Ghose, N. Mansouri Ghiasi, M. Patel, J. S. Kim, H. Hassan, M. Sadrosadati, and O. Mutlu
in Proc. of the International Symposium on Microarchitecture (MICRO), Fukuoka, Japan, October 2018
R. Ausavarungnirun, J. Landgraf, V. Miller, S. Ghose. J. Gandhi, C. J. Rossbach, and O. Mutlu
in ACM SIGOPS Operating Systems Review (OSR), Vol. 52, No. 1, July 2018
extended version of MICRO 2017 paper
S. Ghose, A. G. Yağlıkçı, R. Gupta, D. Lee, K. Kudrolli, W. X. Liu, H. Hassan, K. K. Chang, N. Chatterjee, A. Agrawal, M. O'Connor, and O. Mutlu
in Proc. of the ACM SIGMETRICS Conference, Irvine, CA, June 2018
in Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 2, No. 3, December 2018
Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu
in Proc. of the ACM SIGMETRICS Conference, Irvine, CA, June 2018
in Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 2, No. 3, December 2018
A. Tavakkol, M. Sadrosadati, S. Ghose, J. Kim, Y. Luo, Y. Wang, N. M. Ghiasi, L. Orosa, J. Gómez-Luna, and O. Mutlu
in Proc. of the International Symposium on Computer Architecture (ISCA), Los Angeles, CA, June 2018
D. Senol Cali, J. S. Kim, S. Ghose, C. Alkan, and O. Mutlu
in Briefings in Bioinformatics, 2018
A. Boroumand, S. Ghose, Y. Kim, R. Ausavarungnirun, E. Shiu, R. Thakur, D. Kim, A. Kuusela, A. Knies, P. Ranganathan, and O. Mutlu
in Proc. of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Williamsburg, VA, March 2018
R. Ausavarungnirun, V. Miller, J. Landgraf, S. Ghose, J. Gandhi, A. Jog, C. J. Rossbach, and O. Mutlu
in Proc. of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Williamsburg, VA, March 2018
Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), Vienna, Austria, February 2018
A. Tavakkol, J. Gómez-Luna, M. Sadrosadati, S. Ghose, and O. Mutlu
in Proc. of the USENIX Conference on File and Storage Technologies (FAST), Oakland, CA, February 2018
J. S. Kim, D. Senol Cali, H. Xin, D. Lee, S. Ghose, M. Alser, H. Hassan, O. Ergin, C. Alkan, and O. Mutlu
in Proc. of the Asia Pacific Bioinformatics Conference (APBC), Yokohama, Japan, January 2018
in BMC Genomics, 2018

2017

R. Ausavarungnirun, J. Landgraf, V. Miller, S. Ghose. J. Gandhi, C. J. Rossbach, and O. Mutlu
in Proc. of the International Symposium on Microarchitecture (MICRO), Cambridge, MA, October 2017
Y. Cai, S. Ghose, E. F. Haratsch, Y. Luo, and O. Mutlu
in Proceedings of the IEEE, Vol. 105, No. 9, September 2017
Y. Li, S. Ghose, J. Choi, J. Sun, H. Wang, and O. Mutlu
in Proc. of the IEEE Cluster Conference (CLUSTER), Honolulu, HI, September 2017
X. Xiang, W. Shi, S. Ghose, L. Peng, O. Mutlu, and N.-F. Tzeng
in Proc. of the International Conference on Supercomputing (ICS), Chicago, IL, June 2017
K. K. Chang, A. G. Yağlıkçı, S. Ghose, A. Agrawal, N. Chatterjee, A. Kashyap, D. Lee, M. O'Connor, H. Hassan, and O. Mutlu
in Proc. of the ACM SIGMETRICS Conference, Urbana-Champaign, IL, June 2017
published in Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 1, No. 1, June 2017
D. Lee, S. Khan, L. Subramanian, S. Ghose, R. Ausavarungnirun, G. Pekhimenko, V. Seshadri, and O. Mutlu
in Proc. of the ACM SIGMETRICS Conference, Urbana-Champaign, IL, June 2017
published in Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 1, No. 1, June 2017
A. Fukami, S. Ghose, Y. Luo, Y. Cai, and O. Mutlu
in Proc. of the DFRWS Digital Forensics Research Conference Europe (DFRWS EU), Überlingen, Germany, March 2017
won best paper award at DFRWS EU
published in Digital Investigation, Vol. 20, March 2017
Y. Cai, S. Ghose, Y. Luo, K. Mai, O. Mutlu, and E. F. Haratsch
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), industrial session, Austin, TX, February 2017
H. Hassan, N. Vijaykumar, S. Khan, S. Ghose, K. Chang, G. Pekhimenko, D. Lee, O. Ergin, and O. Mutlu
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), Austin, TX, February 2017
A. Boroumand, S. Ghose, M. Patel, H. Hassan, B. Lucia, K. Hsieh, K. T. Malladi, H. Zheng, and O. Mutlu
in IEEE Computer Architecture Letters (CAL), Vol. 16, No. 1, January - June 2017

2016

N. Vijaykumar, K. Hsieh, G. Pekhimenko, S. Khan, A. Shrestha, S. Ghose, A. Jog, P. B. Gibbons, and O. Mutlu
in Proc. of the International Symposium on Microarchitecture (MICRO), Taipei, Taiwan, October 2016
X. Xiang, S. Ghose, O. Mutlu, and N.-F. Tzeng
in Proc. of the International Conference on Computer Design (ICCD), Phoenix, AZ, October 2016
K. Hsieh, S. Khan, N. Vijaykumar, K. K. Chang, A. Boroumand, S. Ghose, and O. Mutlu
in Proc. of the International Conference on Computer Design (ICCD), Phoenix, AZ, October 2016
Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu
in IEEE Journal on Selected Areas in Communications (JSAC), Vol. 34, No. 9, September 2016
K. K. Chang, A. Kashyap, H. Hassan, S. Ghose, K. Hsieh, D. Lee, T. Li, G. Pekhimenko, S. Khan, and O. Mutlu
in Proc. of the Joint ACM SIGMETRICS/IFIP Performance Conference, Antibes Juan-les-Pins, France, June 2016
Y. Li, D. Wang, S. Ghose, J. Liu, S. Govindan, S. James, E. Peterson, J. Siegler, R. Ausavarungnirun, and O. Mutlu
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016
K. K. Chang, P. J. Nair, D. Lee, S. Ghose, M. Qureshi, and O. Mutlu
in Proc. of the International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016
D. Lee, S. Ghose, G. Pekhimenko, S. Khan, and O. Mutlu
in ACM Transactions on Architecture and Code Optimization (TACO), Vol. 12, No. 4, January 2016
presented at the HiPEAC Conference, Prague, Czech Republic, January 2016

2015

R. Ausavarungnirun, S. Ghose, O. Kayıran, G. H. Loh, C. R. Das, M. T. Kandemir, and O. Mutlu
in Proc. of the International Conference on Parallel Architectures and Compilation Techniques (PACT), San Francisco, CA, October 2015
Y. Cai, Y. Luo, S. Ghose, E. F. Haratsch, K. Mai, and O. Mutlu
in Proc. of the International Conference on Dependable Systems and Networks (DSN), Rio de Janeiro, Brazil, June 2015
Y. Luo, Y. Cai, S. Ghose, J. Choi, and O. Mutlu
in Proc. of the International Conference on Massive Storage Systems and Technology (MSST), Santa Clara, CA, June 2015

2013

S. Ghose, H. Lee, and J. F. Martínez
in Proc. of the International Symposium on Computer Architecture (ISCA), Tel Aviv, Israel, June 2013, pp. 84 - 95

2012

J. Mukundan, S. Ghose, R. Karmazin, E. İpek, and J. F. Martínez
in Proc. of the International Conference on Supercomputing (ICS), Venice, Italy, June 2012, pp. 101 - 110

2009

S. Ghose, L. Gilgeous, P. Dudnik, A. Aggarwal, and C. Waxman
in Proc. of the Design, Automation and Test in Europe Conference (DATE), Nice, France, April 2009, pp. 652 - 657


Book Chapters


S. Ghose, K. Hsieh, A. Boroumand, R. Ausavarungnirun, and O. Mutlu
The Processing-in-Memory Paradigm: Mechanisms to Enable Adoption
invited book chapter in Beyond-CMOS Technologies for Next Generation Computer Design, Springer, 2019
N. Vijaykumar, K. Hsieh, G. Pekhimenko, S. Khan, S. Ghose, A. Shrestha, A. Jog, P. B. Gibbons, and O. Mutlu
Decoupling the Programming Model from Resource Management in Throughput Processors
invited book chapter in Many-Core Computing: Hardware and Software, IET, 2018
Y. Cai, S. Ghose, E. F. Haratsch, Y. Luo, and O. Mutlu
Reliability Issues in Flash-Memory-Based Solid-State Drives: Experimental Analysis, Mitigation, Recovery
invited book chapter in Inside Solid State Drives (SSDs), 2nd edition, Springer, 2018
N. Vijaykumar, G. Pekhimenko, A. Jog, S. Ghose, A. Bhowmick, R. Ausavarungnirun, C. Das, M. Kandemir, T. C. Mowry, and O. Mutlu
A Framework for Accelerating Bottlenecks in GPU Execution with Assist Warps
invited book chapter in Advances in GPU Research and Practice, Elsevier, 2016


Patents


J. F. Martínez and S. Ghose
Systems and Methods for Improved Processor-Based Memory Scheduling
US Provisional Patent Application No. 61/837,292, 20 June 2013
WIPO Patent Application No. WO 2014/205534, 20 June 2014
US Patent Application No. 14/898,555, 20 June 2014


Selected Posters


D. Senol Cali, Z. Bingöl, J. S. Kim, R. Ausavarungnirun, S. Ghose, C. Alkan, and O. Mutlu
Accelerating Approximate Pattern Matching with Processing-in-Memory (PIM) and Single-Instruction Multiple-Data (SIMD) Programming
presented at RECOMB Sattelite Workshop on Massively Parallel Sequencing (RECOMB-Seq), Paris, France, March 2018
won best poster award at RECOMB-Seq
D. Senol, J. S. Kim, S. Ghose, C. Alkan, and O. Mutlu
Nanopore Sequencing Technology and Tools: Computational Analysis of the Current State, Bottlenecks and Future Directions
presented at Pacific Symposium on Biocomputing (PSB), Honolulu, HI, January 2017